Current Server Time: 00:02 (Central Europe)

#apertus IRC Channel Logs

2020/03/30

Timezone: UTC


00:00
preetimenghwani[
I am confused you said the current remapper works in 32sensel mode what does that imply?
00:01
Bertl
that in our setup, 32 LVDS channels are connected and thus 32 channels produce input
00:01
Bertl
please check the CMV12000 datasheet to get an idea what I'm talking about
00:04
preetimenghwani[
Oh okay i read it once will read it again for better understanding thanks :)
00:04
Dest123
For the final goal "emulate sensor behaviour and bit stream", the different sensor components will have to be designed, SPI, sequencer, AFE,.....etc. right?
00:05
Bertl
yep
00:10
Dest123
For the image and video generation, is there some kind of a standard algorithm?
00:10
Bertl
maybe?
00:17
Dest123
Should it be generated based on something or the image can be random pixels with random values?
00:18
Bertl
well, purely random doesn't make much sense for testing
00:18
Bertl
pseudo random data is very valuable for testing
00:19
Bertl
but it is not suitable for all tests
00:21
Dest123
How can the pseudo random data be valuable while the random won't make sence? And when does it fail?
00:21
Bertl
true random data cannot be verified on the receiving side
00:22
Bertl
i.e. it is like noise
00:25
Dest123
I see
00:26
Bertl
off to bed now ... have a good one everyone!
00:26
Bertl
changed nick to: Bertl_zZ
00:28
Dest123
Good night
00:31
Dest123
left the channel
00:51
EmilySears
joined the channel
00:53
EmilySears
left the channel
02:15
Amabella
joined the channel
02:18
Amabella
left the channel
02:39
comradekingu
left the channel
02:55
comradekingu
joined the channel
04:14
Shashwat
left the channel
04:25
omar31
joined the channel
04:59
BAndiT1983|away
changed nick to: BAndiT1983
05:20
megora
left the channel
06:36
Shashwat
joined the channel
07:30
futarisIRCcloud
joined the channel
07:44
megora
joined the channel
08:00
BAndiT1983
changed nick to: BAndiT1983|away
08:35
megora
left the channel
09:33
RosieRoff
joined the channel
09:36
RosieRoff
left the channel
09:56
Shashwat
left the channel
09:56
Shashwat
joined the channel
10:43
Bertl_zZ
changed nick to: Bertl
10:43
Bertl
morning folks!
10:44
apoorva_arora
morning
10:52
omar31
morning Bertl
10:53
omar31
would you check my task please?
10:53
Bertl
url?
10:53
omar31
https://github.com/omar-joudi/high_speed_link
10:53
Bertl
tx
10:54
Bertl
Xilinx Zynq (LCMXO2-1200HC-6TG100C)
10:57
Bertl
there are some inconsistencies in the spacing for std_logic_vector ranges ... space vs no space before the () ... I do not mind which one you use but you should use it consistently
10:59
omar31
> Xilinx Zynq (LCMXO2-1200HC-6TG100C)
10:59
omar31
sorry, fixed it
10:59
Bertl
there are also some lines which go way beyond the maximum line length ... (using 4 spaces instead of a tab might help a little)
11:00
omar31
ok, will fix them
11:01
Bertl
when you write 'tested on' did you actually run it on the listed hardware?
11:03
omar31
no actually I have some timing violations that I wish you could give me hints on how to solve them
11:03
Bertl
tp.vhd (type in name?) has some indentation issues as well
11:06
Bertl
in your timing_report.txt, do you know what the line 'Timing constraints are not met.' means?
11:08
BAndiT1983|away
changed nick to: BAndiT1983
11:09
Shashwat
left the channel
11:10
omar31
there are paths that fail to meet the timing
11:10
omar31
due to excessive path delay
11:11
Bertl
what does that mean for the implementation?
11:12
Shashwat
joined the channel
11:13
omar31
it will not work?
11:15
Bertl
yep, okay
11:17
Bertl
Diamond clocks out at slightly over 100MHz with your design too, so not really high speed yet
11:23
omar31
I think sampling at both edges causes problems, I could reach more than double of this frequency with single edge
11:24
Bertl
did you look into high speed interfaces for both FPGAs?
11:27
preetimenghwani[
Bertl: For changing modes in CMV12000 programming of sequencer register is required using SPI interface do we need to take care of that also?
11:27
omar31
Bertl: Yes, I took a look at them
11:29
Bertl
preetimenghwani[: the SPI programming happens from the Zynq/Linux side so you can assume that the sensor SPI registers are programmed accordingly
11:30
Bertl
but the Zynq also needs to configure the remapper according to the set sensor parameters :)
11:30
Bertl
omar31: any ideas how to improve the situation or is 200MHz (the version you had without DDR) the upper limit?
11:33
omar31
Maybe I can use pipelining but this will affect the time which data takes to arrive
11:37
Bertl
you know Lattice TN1203?
11:41
omar31
yes that is the high speed interface notes that I said I had a look at
11:46
Bertl
so, might any of those solutions apply here?
11:57
BritManuela
joined the channel
12:00
BritManuela
left the channel
12:03
omar31
Bertl: I am already using PLL and DDR, so I do not think an additional block can be integrated with them
12:10
preetimenghwani[
Bertl: To synchronize zynq with LVDS outputs, training pattern is used is that you mean here?
12:47
Bertl
omar31: so what you are basically saying is that the MachXO2 is not capable of transmitting or receiving data at more than 200Mbit or so?
12:47
Bertl
preetimenghwani[: no, but maybe we are talking about different things here, please rephrase your question
12:53
preetimenghwani[
You said zynq needs to be configure the remapper how can that be achieved?
12:54
preetimenghwani[
Also it is necessary to to synchronize zynq with the LVDS using training pattern testing on hardware right?
12:58
omar31
Bertl: In the current design the data is decoded after half cycle of the slow clock
12:59
omar31
I think the frequency can be increased at the expense of this time
13:05
Bertl
preetimenghwani[: configuration should happen via some kind of register interface similar to the existing features
13:05
Bertl
synchronization and deserialization (including link training already happens, but could be improved of course
13:15
pdp7
left the channel
13:15
_florent_
left the channel
13:15
davidak[m]
left the channel
13:15
pratyush[m]
left the channel
13:15
pdp7_
joined the channel
13:16
_florent_
joined the channel
13:17
pratyush[m]
joined the channel
13:19
davidak[m]
joined the channel
13:22
preetimenghwani[
Okay
13:22
preetimenghwani[
And also in discription of current remapper it says that one LVDS pin gives out 1 pixel in 1 clock cycle but the pins can give only 1 bit output at a time right?
13:29
Bertl
correct
13:29
Bertl
check the CMV12000 data sheet for all the gory details how the sensel data is transferred
13:35
IAmATeapot
joined the channel
13:37
IAmATeapot
left the channel
13:44
Shashwat
left the channel
14:24
Shashwat
joined the channel
14:57
megora
joined the channel
15:12
sergio__[m]
left the channel
15:12
apurvanandan[m]
left the channel
15:17
sergio__[m]
joined the channel
15:17
apurvanandan[m]
joined the channel
15:45
preetimenghwani[
left the channel
15:45
markvandenborre[
left the channel
15:45
RexOrMatrix[m]
left the channel
15:45
promach3
left the channel
15:45
se6ast1an
left the channel
15:45
preetimenghwani[
joined the channel
15:45
markvandenborre[
joined the channel
15:45
RexOrMatrix[m]
joined the channel
15:45
promach3
joined the channel
15:45
se6ast1an
joined the channel
15:46
promach3
left the channel
15:48
preetimenghwani[
left the channel
15:48
RexOrMatrix[m]
left the channel
15:48
apurvanandan[m]
left the channel
15:48
sergio__[m]
left the channel
15:48
davidak[m]
left the channel
15:48
bluez_[m]
left the channel
15:48
pratyush[m]
left the channel
15:49
kunalghosh[m]
left the channel
15:49
abeljj[m]
left the channel
15:49
metal_dent[m]
left the channel
15:49
aleb
left the channel
15:49
elkos
left the channel
15:52
markvandenborre[
left the channel
16:02
max_bxl
joined the channel
16:02
max_bxl
hello everyone!
16:02
BAndiT1983
hi max_bxl
16:02
se6ast1an
hi therre
16:02
se6ast1an
meeting tme!
16:02
se6ast1an
who is here?
16:02
max_bxl
I am!
16:03
se6ast1an
great
16:03
se6ast1an
maxime do you want to start with reporting?
16:03
max_bxl
why not
16:03
max_bxl
nothing much to report
16:03
Bertl
is here ...
16:03
max_bxl
we try to do a night time liapse
16:03
max_bxl
but it happens it was too cloudy
16:03
max_bxl
to make any conclusions....
16:04
se6ast1an
is the "old" timelase where you shared 1 second done now?
16:04
max_bxl
and I put a link to the ambrosia wiki page
16:04
max_bxl
so you can see the results as we process them and dowload the raw12 to play with them
16:05
max_bxl
check for yourself !https://wiki.apertus.org/index.php/Project_Ambrosia#Media
16:05
max_bxl
I still need to make some space on my hard drive in order to reprocess the stopmotion raw image sequence
16:06
max_bxl
(the raw12 of the second TL are not online as it was not that interesting)
16:06
max_bxl
I had two questions, but maybe after all the reports?
16:07
se6ast1an
great, yes tech questions afterwards sounds good to keep the reports brief
16:07
max_bxl
ok, so that about it for me to report
16:07
max_bxl
hopefully more to come next week ;)
16:08
se6ast1an
great, thanks
16:08
se6ast1an
BAndiT1983: anything to share?
16:08
BAndiT1983
just a bit
16:08
BAndiT1983
managing gsoc related stuff, trying to check the progress of students
16:09
BAndiT1983
besides that writing a script for automatic PCB inspection for Bertl, most parts done, but bug fixing takes time
16:09
BAndiT1983
other than that making plans for BL and firmware, but had no time to continue yet
16:09
BAndiT1983
that's all for now
16:09
max_bxl
BL?
16:10
BAndiT1983
bootloader, sorry am used to shorten it
16:10
max_bxl
thanks
16:10
max_bxl
(I need to learn ^^)
16:10
Oscar
joined the channel
16:11
vup
is also here
16:11
se6ast1an
great, hi vup and oscar
16:11
Oscar
Hi!
16:11
se6ast1an
Bertl will share more about this inspection idea I assume when its his turn
16:12
se6ast1an
thanks BAndiT1983
16:12
se6ast1an
vup any progress to report with the micro?
16:13
vup
well, we continued working on the new hardware, and have now planned the power subsystem
16:13
vup
but other than than, nothing new
16:13
vup
(the new hardware revision is currently developed at https://github.com/axiom-micro/mainboard/tree/r3 if you want to check it out, but not much documentation / images done yet)
16:14
se6ast1an
did you and Bertl plan an ecp5 plugin module already?
16:14
se6ast1an
as was hinted at last irc meeting IIRC
16:15
vup
not yet
16:15
Bertl
I did some checks and investigated our options regarding escape
16:15
Bertl
we definitely need 4mil trace and 7mil vias for the 17x17mm BGA
16:16
Bertl
and we would require 4mil trace, 4mil vias for the 10x10mm BGA package
16:16
Bertl
but four layers should be enough
16:16
vup
are you sure re 7mil vias?
16:17
vup
to me it seemed like 0.2mm would also work
16:17
Bertl
no, but that's what the Lattice Package documentation says :)
16:17
se6ast1an
very interesting, thanks
16:17
vup
(of course depending on minimum via to pad / trace spacing)
16:17
se6ast1an
anything else vup?
16:17
Bertl
but we can discuss that later I guess
16:17
se6ast1an
yes tech details after reporting please
16:18
vup
nothing else from me
16:18
se6ast1an
right, thank you!
16:18
vup
ah I think anuejn started working on usb uvc support in the recorder (for example for the camlink 4k)
16:18
se6ast1an
ah great
16:18
vup
but not sure how far he got there
16:18
se6ast1an
oscar, anything to report from your side?
16:21
se6ast1an
or Bertl are you ready with the images you mentioned?
16:21
Bertl
yeah, I think I'm ready
16:21
se6ast1an
great, please go ahead
16:22
Bertl
so, besides the usual business (rework, design, planning, etc), and a quite intensive GSoC application week
16:22
Bertl
I did write together the bullet points for the Axiom Beta Power Boards (feature list) as urgently requested by RexOrCine
16:23
Bertl
and I also added new board renders for all three Power Board branches we currently have
16:24
Bertl
i.e. for the v0.30 we have been using till now, the upcoming v1.x and the future v2.x
16:24
Bertl
had to adjust the renderer somewhat because of the obvious ImageMagick changes in v7
16:25
se6ast1an
results have partially been published here already: https://wiki.apertus.org/index.php/Beta_Power_Board
16:25
Bertl
After the v1.x power board tests went smoothly last week, I decided to build a complete prototype, but I didn't want to probe the PCBs by hand (before populating them)
16:26
Bertl
so (already last week) the automated testing was revived
16:26
Bertl
the basic concept here for a start is to do a single probe testing
16:27
Bertl
now how is this going to work you might ask yourself?
16:27
Bertl
it actually isn't just a single probe, but we'll get that shortly
16:27
Bertl
so to simplify things, I decided to adapt a 3D printer (Creality Ender-2) for this specific task
16:28
Bertl
I removed the filament feeder, the hot-end, the LCD (who needs that anyway? :), replaced the noisy power supply with a relatively silent PC power supply (no hotbed heating required)
16:29
se6ast1an
reference: https://www.apertus.org/diy-flying-probe-AOI
16:29
Bertl
I improved a number of things on the Ender-2 as well, mostly with existing MODS but also with some new designs
16:30
Bertl
the entire test setup, done under lab conditions, looks like this:
16:30
Bertl
http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_151918.jpg
16:30
BAndiT1983
are all the guys also paying the apartment rent?
16:31
Bertl
modifications included: an elevated Z-limit switch and spacer for the Z-stepper: http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152154.jpg
16:31
BAndiT1983
what is the shorter pogo pin doing?
16:31
Bertl
I'll come to that in a minute :)
16:31
BAndiT1983
ah
16:31
Bertl
a Z-axis stabilisation with ball bearing: http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152513.jpg
16:32
Bertl
(probbly not required for this task, but that was one of the first Ender-2 modifications I did
16:32
Bertl
and finally a stabilization for the hot-bed, which is simply done via nuts
16:33
Bertl
now the removed hot-end was replaced by a base plate (here in blue) where the probe(s) can be attached:
16:33
Bertl
http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152625.jpg
16:34
Bertl
on the left side, there is an usb microscope (good quality) for precision positioning: http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152356.jpg
16:34
Bertl
which can be adjusted in height and focus quite easily but is rigidly mounted
16:35
BAndiT1983
is the view field wide enough to see the probe?
16:35
Bertl
no, I'll provide some screenshots from that later
16:35
Bertl
the probe itself has a 'known' offset to the camera position, so it is simply a matter of adding/subtracting that offset
16:36
Bertl
now to the probe itself: http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152415.jpg
16:36
Bertl
as you already noticed, there are two probes not just one, but one is currently unused
16:36
Bertl
I wasn't sure which pogo-pin size would be best for this purpose, so I added two pogo-pin holder for two different sizes
16:37
Bertl
if you look closely, you'll see that the tiny pogo-pin is in a holder as well, so it can be easily replaced
16:37
Bertl
note: there are different probe heads so switching them out makes sense and we'll se what works best
16:38
Bertl
the probes are attached to a PIC microconrtoller which in turn connects via an FTDI serial to usb converter
16:38
Bertl
the PIC can be reprogrammed via this converter and also provides probe information via the serial connetion
16:39
BAndiT1983
reprogrammed?
16:39
BAndiT1983
are you generating singals from the PC?
16:39
BAndiT1983
*signals
16:39
Bertl
now the PCB itself is simply mounted on four spacers (the white thigies): http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_151935.jpg
16:40
Bertl
and taped to the bed, so that the board has a known elevation and doesn't move around
16:40
Bertl
BAndiT1983: yes, everything is currently controlled from a PC/laptop
16:41
Bertl
now the idea behind the white spacer is what makes the single probe probing useful
16:41
Bertl
if you look closely at it on this image: http://vserver.13thfloor.at/Stuff/AXIOM/PROBE/IMG_20200330_152702.jpg
16:41
Bertl
you can see that there is a gap at the edge and the spacer goes into the plated hole in the corner of the PCB
16:42
Bertl
you can easily put a flexible wire in there and make a reliable connection to this plated hole
16:42
Bertl
on basically all our PCBs, the plated corner mounting holes are connected to ground, so this gives a good ground connection to the entire PCB
16:43
Bertl
the probe can now use two different probing methods depending on the to-be-probed pads
16:43
Bertl
one is to simply detect the gound connection (either wanted or unwanted)
16:44
Bertl
and the other is by using a nifty trick of the ADC available in the PIC MCU
16:44
davidak[m]
joined the channel
16:44
Bertl
basically an ADC consists of a sampling capacitor and a circuitry to convert the voltage on that capacitor to bits
16:45
Bertl
now the probing used here works like this:
16:45
Bertl
first the capacitor is charged to a well known voltage generated by the fixed voltage reference in the PIC
16:45
abeljj[m]
joined the channel
16:46
Bertl
then the probe pin is tied to ground, to ensure that the pad is at a known voltage level as well (0)
16:46
Bertl
then the probe pin is set to floating and the sampling capacitor from the ADC is connected to the pin
16:47
Bertl
this connects the capacitance from the probe (pad and trace) with the sampling capacitor, creating a capacitive voltage divider
16:47
Bertl
and the resulting voltage can be digitized by the ADC
16:48
Bertl
we'll see how good that works but preliminary test were promising
16:49
apurvanandan[m]
joined the channel
16:49
elkos
joined the channel
16:49
aleb
joined the channel
16:49
se6ast1an
is this following the concept discussed at the time? was called something like "one-probe..." analogue measuring?
16:49
metal_dent[m]
joined the channel
16:49
sergio__[m]
joined the channel
16:49
pratyush[m]
joined the channel
16:49
bluez_[m]
joined the channel
16:49
preetimenghwani[
joined the channel
16:49
WalterZimmermann
joined the channel
16:51
se6ast1an
and if it is do you need reference measurements or can you also test "the first board" properly?
16:52
Bertl
well, yes and no
16:52
Bertl
we can do all the is ground or isn't ground tests on the first one
16:52
Bertl
and they will weed out most of the problems we had so far
16:53
Bertl
for the more advanced testing we need to compare the results between boards
16:53
Bertl
but for prototyping, as we always get 3 boards, it should be fairly easy to spot issues
16:53
Dest123
joined the channel
16:53
se6ast1an
right, many thanks!
16:53
se6ast1an
anything else to add?
16:53
Bertl
also note that the concept we discussed back then (analog probe)
16:54
Dest123
Hello :)
16:54
Bertl
is not exactly what we do right now, because we do not test with a pulse but instead just use capacitive measurements
16:54
Bertl
(so a rather simple method compared to the envisioned, but a good start IMHO)
16:54
se6ast1an
understood, great
16:55
BAndiT1983
is resistance also planned or not relevant?
16:55
Bertl
I'm currently working on attaching a pi-zero with camera
16:55
Bertl
so that the probe in action can be observed as well
16:56
Bertl
resistance on a brand new PCB is not really that interesting, but we could measure that as well with the existing probe (at least to ground)
16:56
Bertl
by simply configuring a pullup and digitizing the resulting voltage
16:57
Bertl
next step is to test the probing with the pad information generated from BAndiT1983's script
16:57
Bertl
if there are any questions, please talk to me after the meeting
16:58
Bertl
that's it from my side for this week
16:58
se6ast1an
many thanks
16:58
se6ast1an
anyone else here in the meantime who wants to share/report and didnt so far?
16:58
se6ast1an
otherwise quick updates from me:
16:59
Bertl
note: applicants are welcome to participate as well
16:59
se6ast1an
next gsoc deadline is approaching fast, student application deadline is tomorrow on the 31st - more details: https://developers.google.com/open-source/gsoc/timeline
16:59
se6ast1an
google delayed some later deadlines due to corona virus as a lot of universities are being closed all over the world right now...
16:59
BAndiT1983
hope that students have got the paper work done befor that
16:59
BAndiT1983
*before
17:00
se6ast1an
hopefully
17:00
se6ast1an
for the AXIOM Remote I modified the LCD holder (3d print part) to also hold Bertls additional remote control PCB below: https://cad.onshape.com/documents/12bc6fbd9b32fa10f6c16ff9/w/2b05098c1a8a2447a85fcae9/e/54a0b5d91cb3d6a04f899f00
17:00
se6ast1an
and we ordered 10 lcds for the remote a few days ago
17:00
se6ast1an
PCB quotes collection progress is sluggish as suppliers have non engineers do all the customer communication and sales and so they mostly do not understand the technical requirements we have - its always one step forward, two steps back and then two steps forward....hopefully
17:01
se6ast1an
I made some CAD design progress: https://cloud.apertus.org/index.php/apps/gallery/s/jycWfbwpWYAwfJ6
17:01
se6ast1an
in particular with the HDMI holder clamp, microphone holder attachment points and now with attaching the AXIOM Remote to the camera together with an NP-F battery. All in concept phase still but progressing.
17:01
se6ast1an
with the powerboard additions Bertl is currently verifying we will be able to do some battery capacity monitoring through voltage/current measurements
17:02
se6ast1an
thats it from me
17:03
se6ast1an
if nobody else has any topics I would conclude the meeting and invite you to discuss the technical details we held back during the meeting
17:04
se6ast1an
meeting concluded!
17:04
se6ast1an
many thanks for being here and participating!
17:04
Bertl
thanks for having us!
17:04
se6ast1an
another great week done, another wonderful one to go :)
17:04
Bertl
\o/
17:08
promach3
joined the channel
17:08
MarkVandenBorre[
joined the channel
17:08
kunalghosh[m]
joined the channel
17:08
RexOrMatrix[m]
joined the channel
17:16
Oscar
See you all! (@Sebastian I was called away for a phone call so I couldn't relpy)
17:16
max_bxl
see you!
17:18
max_bxl
my technical question was : how to window the sensor when taking snaps (with axiom-snap) in order to have for example only 4096x2048px raw12 ?
17:19
max_bxl
or even 2048x1536px ?
17:19
Bertl
it probably will work out of the box if you set the registers correctly
17:20
max_bxl
I find out how to set registers with axiom-cmv-reg.sh
17:20
Bertl
it might be necessary to adjust the address generator and maybe adapt the cmv_snap3 to handle the window
17:21
Bertl
but I plan to update cmv_snap soon so it might make sense to put a wish list on a lab task :)
17:21
Bertl
(well, soon-is :)
17:21
Bertl
*ish
17:21
max_bxl
ok!
17:26
max_bxl
done : https://lab.apertus.org/T1168
17:30
Bertl
good start, still needs some love :)
17:36
max_bxl
updated ;)
17:38
Bertl
lol
17:39
Bertl
what I meant was a description what features to add like for example vertical windowing etc
17:39
Bertl
a width of 2048 requires either subsampling or binning for example as there is no horizontal windowing
17:47
Oscar
left the channel
17:57
max_bxl
from what I read from the datasheet, binning helps to reduce noise, right ?
17:57
max_bxl
that's the main advantage over subsampling ?
18:07
max_bxl
ok, I elaborated a bit the idea
18:07
max_bxl
off for now, bye !
18:07
max_bxl
left the channel
18:20
illwieckz
left the channel
18:44
omar31
left the channel
19:20
Dest123
Hey bertl, I have a couple of questions if you are free
19:21
Bertl
sure, go ahead
19:21
Dest123
What is the use of the digital offset in the CMV12k
19:23
Bertl
it adjusts the dark level
19:27
Dest123
What about the amplifier? Why would I want to add an analog gain to the pixel?
19:33
se6ast1an
to make the image brighter
19:38
Bertl
to get the best out of the sensor, you want to cover a good portion of the digital (ADC) range
19:39
Bertl
e.g. if you have 10 bit, you have values from 0 to 1023, so it is good to have the analog output cover this range, e.g. from 42 to 987
19:39
Bertl
instead of just from 23 to 234 for example
19:40
Bertl
this is where the gain amplifier comes in
19:41
Dest123
I see
19:41
Dest123
Thank you
19:41
Bertl
you're welcome!
19:44
aombk2
joined the channel
19:48
aombk
left the channel
20:06
Shashwat
left the channel
20:13
Dest123
left the channel
20:14
Dest123
joined the channel
20:24
Bertl
off for now ... bbl
20:24
Bertl
changed nick to: Bertl_oO
20:51
WalterZimmermann
left the channel
21:01
illwieckz
joined the channel
21:27
BAndiT1983
changed nick to: BAndiT1983|away
21:32
Guest27507
joined the channel
22:35
schmoggie1
left the channel
22:41
schmoggie
joined the channel
22:45
illwieckz
left the channel
22:49
schmoggie
left the channel
22:53
schmoggie
joined the channel
22:57
illwieckz
joined the channel
23:20
preetimenghwani[
Bertl: please check dm when you get time
23:55
lexano
left the channel