Current Server Time: 05:28 (Central Europe)

#apertus IRC Channel Logs

2019/07/22

Timezone: UTC


00:34
aombk
left the channel
00:40
aombk
joined the channel
03:13
Y_G
joined the channel
04:38
Y_G
left the channel
04:38
Y_G
joined the channel
05:07
BAndiT1983|away
changed nick to: BAndiT1983
05:30
BAndiT1983
changed nick to: BAndiT1983|away
06:13
Bertl_zZ
changed nick to: Bertl
06:13
Bertl
morning folks!
06:28
shebin_joseph
joined the channel
06:28
shebin_joseph
hello
06:28
Bertl
off to the hub now ... bbl
06:28
Bertl
changed nick to: Bertl_oO
06:29
shebin_joseph
i want to use systemd,Is arch linux distro good beginner ?I am totally new to linux
06:36
illwieckz
left the channel
06:51
shebin_joseph
left the channel
07:13
se6astian|away
changed nick to: se6astian
07:15
se6astian
changed nick to: se6astian|away
08:24
se6astian|away
changed nick to: se6astian
09:12
se6astian
changed nick to: se6astian|away
09:13
se6astian|away
changed nick to: se6astian
09:14
Kjetil
left the channel
09:17
Nira|away
changed nick to: Nira
09:24
se6astian
changed nick to: se6astian|away
09:26
se6astian|away
changed nick to: se6astian
09:26
Y_G
left the channel
10:11
RexOrCine|away
changed nick to: RexOrCine
10:34
se6astian
changed nick to: se6astian|away
11:05
se6astian|away
changed nick to: se6astian
11:05
se6astian
left the channel
11:05
se6astian|away
joined the channel
11:06
se6astian|away
changed nick to: se6astian
11:06
se6astian
left the channel
11:06
BAndiT1983|away
left the channel
11:06
Nira
left the channel
11:06
philippej
left the channel
11:06
RexOrCine
left the channel
11:13
SHEBIN_JOSEPH
joined the channel
11:13
SHEBIN_JOSEPH
HELLO
11:29
SHEBIN_JOSEPH
is it okay,if I install arch linux in a virual machine than my real pc
11:29
SHEBIN_JOSEPH
will systemd works fine in virual machine ?
11:30
SHEBIN_JOSEPH
which is the best virtual machine to install arch linux in windows 10 ?
11:35
nira42
joined the channel
11:47
Bertl_oO
SHEBIN_JOSEPH: I have no clue whatsoever about windows 10 :)
11:48
se6astian|away
joined the channel
11:48
Nira|away
joined the channel
11:48
philippej|away
joined the channel
11:48
RexOrCine|away
joined the channel
11:48
philippej|away
changed nick to: philippej
11:48
se6astian|away
changed nick to: se6astian
11:48
Nira|away
changed nick to: Nira
11:48
BAndiT1983|away
joined the channel
11:48
BAndiT1983|away
changed nick to: BAndiT1983
11:49
RexOrCine|away
changed nick to: RexOrCine
11:56
nira42
left the channel
12:21
Dev_
joined the channel
12:23
Dev_
Hello BAndiT1983, Are you available right now ?
12:25
Dev_
I wanted to ask where should the whole frameserver module to be placed,
12:26
Dev_
I was placing it in processingTest so that we can have access of all the info related to frames from processingPresenter
12:32
Dev_
Also , we need a class which can be used to interact with frameServer UI . Can we use the presenter class for this purpose or another class need to be build for this purpose.
12:41
Dev_
left the channel
13:49
SHEBIN_JOSEPH
left the channel
14:53
BAndiT1983
changed nick to: BAndiT1983|away
14:53
BAndiT1983|away
changed nick to: BAndiT1983
15:17
Y_G
joined the channel
15:21
BAndiT1983
hi Y_G, what's new?
15:23
Y_G
Hi BAndiT1983, Changing the packet structure rn for adding DaemonRequest.
15:24
BAndiT1983
any issues so far?
15:26
Y_G
None at the moment
15:30
se6astian
hi Y_G we have currently taken the remote beta out of the darkbox
15:30
se6astian
it will be reinstalled in 1-2h at the latest
15:30
BAndiT1983
are tests ongoing or some modifications?
15:31
se6astian
mechanical discussions
15:31
Y_G
Ok, se6astian
15:36
dev__
joined the channel
15:37
dev__
Hello BAndiT1983 , are u available ? ,
15:38
BAndiT1983
hi dev__
15:38
dev__
I wanted to ask where should the whole frameserver module to be placed, I was placing it in processingTest so that we can have access of all the info related to frames from processingPresenter
15:39
BAndiT1983
why should it be placed? isn't this info coming from OCcore?
15:39
BAndiT1983
*placed there
15:40
dev__
Yes,
15:40
BAndiT1983
have you seen my trello comment and the text diagram?
15:40
dev__
So we will be making it as a separate module , just like processingTest, OCBackup ...
15:41
BAndiT1983
it was the plan from the beginning of the frame server project
15:41
BAndiT1983
what's the point of using processingtest to place frame server there?
15:43
Dev
joined the channel
15:43
Dev
changed nick to: Guest56310
15:43
Guest56310
left the channel
15:45
dev__
left the channel
15:46
dev__
joined the channel
15:46
dev__
understood,
15:47
BAndiT1983
which data would you use from precessingtest for frame server?
15:47
BAndiT1983
*processingtest
15:49
dev__
I was trying to make presenter(currently being used in processingTest) like structure for frameserver, So I was thinking that if it is possible to use the data which it (presenter) have , like name of loaded file, parameters of any frame
15:50
BAndiT1983
but all of this has to be handled by frame server and not mixed into another module
15:51
dev__
yes, Frameserver should be treated as separate module, it Will contain it own Presenter class which will be reposible for interacting with UI
15:51
dev__
it's
15:55
dev__
I wanted to ask about event bus, It will be used for registering callbacks and fire them, I wanted to ask how these events will be registered
15:56
BAndiT1983
example is in ProgressDialogPresenter, code is rather old, but should still be ok for general tests
15:56
dev__
like we have an event like Quality Parameter for Downscaler
15:57
Fares
joined the channel
15:58
Bertl_oO
changed nick to: Bertl
16:00
supraraj
joined the channel
16:00
se6astian
meeting time
16:00
se6astian
welcome everyone
16:01
supraraj
left the channel
16:01
supsraj
joined the channel
16:02
supsraj
Hello :)
16:02
BAndiT1983
hi
16:04
se6astian
Nira please start your report
16:04
Nira
hi everyone
16:05
Nira
this week I have been learning about SPI, so how to use it on PIC16 and PIC32 for both to communicate, so for sending this way the "fake" pulses to check if the debouncing done until now works or not
16:05
Nira
I have written the SPI configuration on the PIC16 and have been checking how to do it on the PIC32, but I have many doubts, so maybe after the meeting Bertl can help me with this
16:06
Bertl
sure, any code to look at?
16:07
Nira
yes, I will send it after the meeting
16:07
Nira
I know that I should have asked for help before, sorry..
16:07
Nira
so that would be all
16:08
se6astian
many thanks for the report
16:08
se6astian
Fares: your turn!
16:08
Fares
Hi everyone
16:10
Fares
Early this week I fixed few bugs in Axihp_reader|writer and it is now working but still the last few bytes of data is corrupted, I still need to work in that, but for now the xilinx dma module working perfectly with it for testing purposes
16:10
Fares
Then I worked in the software side, I extended the challenge code to generate different lj92 files to be used for testing the core
16:11
Fares
And I also wrote a python code to test the core in zynq and drive the dma, but it was very slow so I moved it to c++ and it is completed but not yet tested
16:12
Bertl
okay, so what are the plans now?
16:13
Fares
So next week will be testing it and finish the documentation so anyone can reproduce the results and test the core, and also will work on extending the lj92 decoder which is used in MagicLantern projects including MLV APP
16:14
Bertl
sounds good!
16:14
Fares
That would be all from my side, thank you!
16:14
se6astian
many thanks
16:15
se6astian
anyone else here, Y_G, dev__, apurvanandan[m] ?
16:15
se6astian
aSobhy: ?
16:15
aSobhy
I'm here
16:16
apurvanandan[m]
Yes, I am here
16:16
se6astian
apurvanandan[m]: please go ahead
16:17
apurvanandan[m]
Hi everybody,
16:20
apurvanandan[m]
This week I overcame the problem I stuck at from quite some time. I am able to receive all the words correctly from the Virtex-5 fpga ( transmitter) and there are no errors in transitions of the words. So I am receiving both the words correctly. Now I am removing previous CDC gearing and attaching a FIFO that will remain in underrun.
16:20
supsraj
left the channel
16:21
apurvanandan[m]
The issue was I had switched on dynamic update of delay which wasn't required!
16:22
apurvanandan[m]
After changing the FIFO ( will be done in few hours) the whole gearwork will be ready but for one LVDS
16:23
apurvanandan[m]
After that I will move to using all LVDS channels and their channel bonding, I have plans ready for it.
16:23
Bertl
okay, keep in mind, the BER testings are mandatory
16:24
apurvanandan[m]
Tonight I will report the correct BER of this connection from hardware
16:24
Bertl
looking forward to it! :)
16:25
apurvanandan[m]
Thanks, for supportBertl . I have taken speed now :)
16:25
apurvanandan[m]
This is all from my side, thanks for the time
16:25
Bertl
perfect! thanks!
16:26
se6astian
great, thanks!
16:26
se6astian
dev_ your turn
16:26
dev__
Yes se6astian
16:26
dev__
hello Everyone
16:26
dev__
last week, I could able to make a fusehandler for frameserver, but haven't tested it yet and fixed tight coupling issue in allocators.
16:27
dev__
after that , I placed a tentative structure of frameserver in OC (wrongly placed in processingTest and pointed by mentors, I will Fix it).
16:27
dev__
Other time was spent for thinking how eventbus will be used, Frameserver presenter will be made etc.
16:27
dev__
This week , I will be working to make Frameserver presenter for interacting with UI.
16:28
dev__
That's it from my side
16:28
se6astian
thanks
16:29
se6astian
aSobhy: please go ahead
16:29
aSobhy
Hello everyone, the past week I was fixing a lot of synchronization errors and consumed a lot of time in understanding how "alignwd" in the CLKDIVC & IDDRx4B modules is working and failed. I checked my code with apurvanandan[m] the code and we almost using the same thing but it didn't work at my side.
16:29
aSobhy
all the rest modules works fine except that part. I hope I fix it ASAP.
16:29
Bertl
okay
16:30
Bertl
anyting else?
16:30
aSobhy
yeah I want your advice after the meeting
16:31
se6astian
many thanks!
16:31
se6astian
Y_G: your turn
16:31
Y_G
Hi all,
16:31
Y_G
This week I was mostly fixing some bugs regarding i2c `set` `get` from DaemonCLI. I have it fixed but then the code seemed too bulky as most set/get functions require atmost 2 parameters as of now and i2c set/get required 4 parameters.So decided to create a seperate packet for i2c requests. Working on that part now
16:32
Y_G
In the upcoming week I would work on testing things with dummy WebUI as packet structure has changed, and I have done no testing at all through Web UI.
16:32
Y_G
That would be it from my side
16:33
se6astian
thanks!
16:33
se6astian
anyone else have something to report?
16:35
se6astian
right then I will do a very brief update because we are in the middle of a big mechanical design and hardware design meeting at the axiom office right now
16:35
se6astian
we will shoot the next team talk on thursday
16:36
se6astian
we received some alumnium parts of the enclosure we had anodized separately
16:36
se6astian
we will also feature them in the team talk update video
16:37
se6astian
many thanks everyone
16:37
se6astian
remember second gsoc eval period is very soon
16:37
se6astian
starting today
16:37
se6astian
ending friday
16:37
se6astian
so see you next week for the irc meeting at the latest
16:38
se6astian
feel free to discuss detials with your mentor, etc. now
16:41
aSobhy
Bertl are you available now or after the meeting that se6astian mentioning ?
16:43
Bertl
I'll be on the road shortly (for about an hour) but we can chat till then and afterwards
16:44
aSobhy
Ok no problem
16:44
dev__
supragya_, and BAndiT1983 I will be making a frameserver presenter. It will contain methods like setting debayering algorithm, setting quality parameters , framespersec etc. It will take required info of frames by loading first frame etc. please guide me if i miss something or need to be taken care of
16:45
BAndiT1983
where will you place frameserver presenter?
16:45
dev__
in frameserver module.
16:46
BAndiT1983
what do you need to do to implement such a module?
16:47
dev__
The frameserver module ??
16:47
BAndiT1983
yes
16:48
dev__
It will interact with OCcore and take processed output to some media player using some virtual avi file
16:49
BAndiT1983
this doesn't answer my question, i know the theory all too well, as i was one of the people who have created the task
16:50
dev__
yes
16:51
dev__
Can u please tell me what is your thought ?
16:51
dev__
upon this
16:52
se6astian
changed nick to: se6astian|away
16:52
BAndiT1983
i wanted to know which steps you will take to implement the frame server module, there are some necessary steps to do to create a new module
16:57
dev__
okay, The module will contain AVIEncode(to make virtual avi file), FrameserverPresenter (to interact with OCcore and OCui). The Ui will reside in OCui. And this will work as an integrated sytem
16:58
BAndiT1983
this are not the steps i mean, but merely general descriptions of what it will contain
16:58
dev__
I have to take care of MVP model which u pointed out earilier during this
16:58
BAndiT1983
UI cannot reside in OCui, as it has to be placed in the module
16:59
dev__
okay.
17:00
Fares
left the channel
17:01
Fares
joined the channel
17:02
dev__
I don't have much clear idea about step but here are some them which i can think of 1) I will make add Ui component using QT 2) After That I will go for making an presenter class for intraction 3) I will try to use inputs from Ui to create an AVI file 4 ) Setting up Fusehandler correctly and then try to frameserver the data
17:03
BAndiT1983
you are missing a couple of tests, which have to be taken care of for a new module, what i read here are just general descriptions and nothing specific to my question
17:03
BAndiT1983
*-tests +steps
17:05
dev__
U mean, unit testing. just like we have for debayering algos and downscaler etc , yes ?
17:06
BAndiT1983
no, i still mean steps, tests was a typo as i'm developing something else in parallel and strayed off in my thoughts
17:06
Bertl
off for now ... bbl
17:07
Bertl
changed nick to: Bertl_oO
17:08
dev__
it would be helpful if u please point out something
17:09
dev__
As I don't have in depth steps info yet
17:09
BAndiT1983
but it was your task to get familiar with OC, if i tell you all the steps, then i can sit down myself and develop this things
17:10
BAndiT1983
haven't you looked how OCLauncher was created or first commits of OCBackup?
17:10
dev__
I have to will see
17:12
dev__
Yes, I will think about it. i will provide u with a document which will contain all the steps and then it would be easy to point out missing things
17:12
dev__
till tomorrow
17:19
dev__
Thanks for your time, I will update u soon.
17:19
dev__
left the channel
17:22
RexOrCine
changed nick to: RexOrCine|away
17:32
Fares
left the channel
17:50
illwieckz
joined the channel
18:06
BAndiT1983
changed nick to: BAndiT1983|away
18:12
Bertl_oO
changed nick to: Bertl
18:12
Bertl
back now ...
18:13
Bertl
aSobhy: we can talk anytime
18:14
apurvanandan[m]
Hey Bertl, So we don't need full or almost full checks in direct connection?
18:15
Bertl
depends on your clock rates, but in the discussed case (generator at 60MHz, FTDI interface at 100MHz) there is no way for the FIFO to get full
18:15
apurvanandan[m]
direct connection of the ends of FIFO to gearing and FT601
18:16
Bertl
what gearing :)
18:16
apurvanandan[m]
Ok , so I will set some ideal almost empty point around 32 words out 512 depth and try to maintain that position
18:17
apurvanandan[m]
Simple 8 byte received + PRNG word + rest zeroes
18:17
apurvanandan[m]
At 60MHz
18:17
apurvanandan[m]
Sorry I mean 1 byte /8bits
18:17
Bertl
so combination not gearing
18:18
apurvanandan[m]
Yes
18:18
apurvanandan[m]
PRNG is clocked at rate of words received so they can be direct concatenated right?
18:19
Bertl
does the FIFO have delays on the empty output?
18:26
apurvanandan[m]
Upon reading the documentation, it can have delay of one clock cycles
18:26
apurvanandan[m]
Haven't read the memory guide completely.
18:32
BAndiT1983|away
changed nick to: BAndiT1983
18:35
Bertl
well, time to read up on the FIFO then :)
18:40
aSobhy
here is what I'm getting alignwd changing the sample edge actually sample the word 1 cycle later
18:40
aSobhy
what happens is the first time i raise the alignwd signal and changes the sampling edge and the sclk lower cycle expands one cycle and thats true
18:40
aSobhy
whenever I raised the alignwd again it doesn't response to it
18:42
aSobhy
Is their another way to do word alignment?
18:43
Bertl
I'm not sure what you are talking about, care to give some context, preferably HDL code to look at?
18:48
aSobhy
here it is the link of the code
18:49
aSobhy
https://github.com/aabdosobhy/Bi-Direction-packet-protocol/blob/master/Training/RFW/train.vhd
18:51
aSobhy
I'm simulating the code right I'll take a screenshot of it now
18:51
aSobhy
right now**
18:51
Bertl
again, everything is all over the place, no proper indentation, etc
18:54
aSobhy
I removed the comments on hurry
18:56
se6astian|away
changed nick to: se6astian
19:02
aSobhy
now its formatted
19:05
Bertl
so, I see that your 'deserializer' blackbox connects to the alignwd of the clock divider
19:07
aSobhy
yes I raise the alignwd in the deserializer
19:07
Bertl
for how many clock cycles?
19:07
aSobhy
for one cycle of e_clk
19:08
Bertl
and did you check with the design guide if that is okay?
19:11
aSobhy
the only description I found is "Word alignment control signal, active high. (ALIGNWD can be asynchronous to the ECLK domain, but it must be at least two ECLK cycles wide.)
19:11
aSobhy
"
19:12
Bertl
so you made it one e_clk cycle, yes?
19:12
aSobhy
and when I try to raise it all the falling edge of the sclk ( = 2 e_clk )that It doesn't respond to it ever
19:12
aSobhy
>= *
19:14
Bertl
how did you verify that?
19:14
Y_G
left the channel
19:15
aSobhy
with my eye!
19:15
Bertl
so you have a fast eye, yes? :)
19:15
aSobhy
I traced it many times
19:15
aSobhy
no I'm simulating it cycle by cycle
19:15
Bertl
how?
19:16
aSobhy
on modelsim
19:16
Bertl
with the netlist from?
19:17
aSobhy
I generated the libraries of machxo2 to use them on modelsim
19:17
aSobhy
is that what you mean ?
19:17
Bertl
well, that's a step in the right direction
19:17
Bertl
what I actually expect is something like:
19:18
Bertl
"here is the minimal code I wrote to test and simulate the CLKDIVC hack I'm working on"
19:18
Bertl
"here are the steps to reproduce the issue"
19:19
Bertl
then I can take a look at it and probably figure out where the problem is
19:20
aSobhy
I'm didn't catch you !
19:21
aSobhy
their is a do file I wrote If you want to see it
19:22
Bertl
the problem you have is with the CLKDIVC, right?
19:22
aSobhy
yes
19:22
Bertl
so first step is to remove everything else from the equation
19:23
Bertl
write a short example which just uses the CLKDIVC with a little logic to trigger the problem
19:23
aSobhy
simulate the CLKDIVC alone ?
19:23
Bertl
this is what we call 'minimal code/example'
19:25
Bertl
if you ever want to report a bug or get some help from an FPGA vendor, you have to do that because nobody will spend time on picking apart your code to figure out where the problem is
19:25
aSobhy
I done it before simulated the file generated from lattice and see the results and it was hesitating.
19:26
Bertl
hesitating?
19:26
aSobhy
OK I'll do a minimal code for the CLKDIVC
19:27
aSobhy
one time it works and many times don't in the same simulation.
19:27
Bertl
got a VCD for that?
19:28
aSobhy
no
19:54
apurvanandan[m]
Should the data pause condition be prefered with fifo = empty or should be with fifo = almost_empty? I think almostempty will do bettter
19:54
apurvanandan[m]
Bertl: Ok I will read that pdf :)
20:14
Bertl
please explain why you think that 'almost empty' is better than 'empty' ...
20:16
apurvanandan[m]
Because it will maintained at ideal position fifo will never be completely empty or full
20:17
Bertl
how's that better?
20:17
Bertl
full is bad, that we can agree on, but empty?
20:18
apurvanandan[m]
Sorry, my bad
20:18
apurvanandan[m]
I got it
20:18
apurvanandan[m]
I was thinking in typical way
20:18
Bertl
there is one reason which might make almost_empty pfereable to empty
20:19
Bertl
that is if the empty signal comes delayed
20:19
Bertl
because then you have to delay your FTDI transmission for as long as you can be sure that the empty is valid
20:20
Bertl
OTOH, with the almost empty signal, you get an additional delay for the data and once your generator stops, data will get stuck in the FIFO
20:21
BAndiT1983
changed nick to: BAndiT1983|away
20:22
apurvanandan[m]
Yes, it is preferable in that way, i got it
20:58
se6astian
off to bed
20:58
se6astian
good night
20:58
se6astian
changed nick to: se6astian|away
20:58
Bertl
nn
22:20
apurvanandan[m]
Bertl, everything is setup and it is receiving very smooth when I transmit two words alternatingly (ABABAB...) words but when I switch to counter I get lot of error like before.
22:20
apurvanandan[m]
Here is raw data in both cases : https://pastebin.com/U6JCFvfy
22:21
apurvanandan[m]
https://pastebin.com/Nbt4QaFN
22:21
apurvanandan[m]
Please see if you are free
22:21
Bertl
what are the columns this time?
22:22
apurvanandan[m]
Last two colums are useful: Last column is counter on machXO2 and second last column is word received from Virtex 5
22:23
Bertl
again 8 bit only, yes?
22:23
apurvanandan[m]
Counter data has counter on both fpgas while alternating has counter on machXO2 and alternating ABABABAB... on Virtex 5
22:24
apurvanandan[m]
Yes
22:24
Bertl
so we still do not know the encoded values
22:25
apurvanandan[m]
I can attach encoded values too if you want but encoded values will be tough to read in counter?
22:25
apurvanandan[m]
Alternating data is perfect if you see
22:27
Bertl
well, it is okay for this specific case, that is all you can conclude
22:27
Bertl
and actually not even that, all you can tell is that the decoded value is correct
22:27
apurvanandan[m]
hmm, I see
22:28
apurvanandan[m]
I am attaching it in just two minutes
22:28
Bertl
for example, try with two alternating values from the counter
22:29
apurvanandan[m]
Alternating value from counter, what does that mean?
22:30
Bertl
your counter example shows C0, C1 for example going wrong
22:30
Bertl
so try C0, C1 alternating
22:31
apurvanandan[m]
Ok got it
23:06
aSobhy
Bertl I have generated the vcd file from modelsim
23:06
aSobhy
how to run it again in modelsim
23:06
Bertl
no idea, I don't use modelsim
23:06
aSobhy
I found "gtkwave" but didn't work
23:06
Bertl
that's what I normally use
23:07
Bertl
let's see the VCD file
23:07
aSobhy
ok I'll push it now
23:12
aSobhy
here it is:
23:12
aSobhy
https://github.com/aabdosobhy/Bi-Direction-packet-protocol/blob/test_CLKDIVC/Training/RFW/test_CLKDIVC.vcd
23:16
Bertl
looks fine in GTKWave
23:16
Bertl
but shows hat the word_align changes quite irregularily
23:17
Bertl
i.e. sometimes it is one clock cycle, at other times it is two cycles
23:18
aSobhy
yeah I meant that
23:19
Bertl
so your logic operating on word_align seems to be wrong then, no?
23:20
aSobhy
no the word alignment I used is like the first one I raised
23:20
Bertl
where is the code for this test?
23:20
Bertl
i.e. the HDL which generated this VCD
23:21
aSobhy
https://github.com/aabdosobhy/Bi-Direction-packet-protocol/blob/test_CLKDIVC/Training/RFW/test_CLKDIVC.vhd
23:22
aSobhy
it has only the CLKDIVC component that I'm using
23:22
Bertl
this doesn't show where the word_align is generated
23:22
Nira
changed nick to: Nira|away
23:23
aSobhy
I removed every thing and testing the CLKDIVC and simulating the alignwd at different positions
23:23
Bertl
so you intentionally simulated a 1clock cycle word_align?
23:23
aSobhy
let me show you what I meant by that simulation
23:24
aSobhy
the same position i raised the alignwd at 1000ps and 1500 ps
23:25
aSobhy
the first one increased the sclk by one cycle and the other don't
23:26
Bertl
both word_align pulses are only one cycle long
23:27
Bertl
(as can be seen on the VCD)
23:27
Bertl
they are also not at 1000ps and 1500ps but 1050ps and 1550ps FWIW
23:30
Bertl
you also set the DIV generic to 4.0 and messing with the word align in a way which goes against the documentation
23:31
aSobhy
ah sorry the time shifted at me
23:31
Bertl
so the simulation results might be accurate or they might be just wrong
23:31
aSobhy
when i try to raise it 2 cycles like at
23:31
Bertl
in any case, it is unlikely to give you the desired results
23:32
aSobhy
2600 & 3500
23:32
aSobhy
the first one works and the other didn't
23:33
aSobhy
ok I'll remove that part
23:34
aSobhy
what to use instead ?
23:35
Bertl
what do the examples in TN1203 use?
23:38
aSobhy
I'm downloading it now
23:39
aSobhy
ah yeah its the same file
23:40
aSobhy
Used CLKDIVC
23:40
Bertl
with some weird logic on word align?
23:42
aSobhy
mmmmm no !!
23:42
aSobhy
Its only input at the IDDR
23:43
Bertl
so why isn't that working for your purpose?
23:45
aSobhy
I thought it should be input for poth
23:45
aSobhy
both*
23:45
aSobhy
(CLKDIVC & IDDR)
23:47
Bertl
well, that is a good assumption, but you are also expecting a specific behaviour
23:49
aSobhy
as their will be two bits lost and two new bits so if I increased the sclk one eclk cycle the new 2 bits will be shifted
23:49
Bertl
the documentation says, among other things:
23:50
aSobhy
that's the behavior I believe
23:50
Bertl
for the x2/x4 gearings, ALIGNWD must be pulsed eight times to step through eight possible word orders
23:52
Bertl
doesn't say much about the CLKDIVC behaviour itself
23:53
aSobhy
didn't see that one
23:54
Bertl
so, probably a good way to test this setup and how alignwd works is to simulate the deserializer with a legal SCLK ratio
23:54
Bertl
and a well defined serial bitstream
23:55
aSobhy
OK
23:55
Bertl
then assert the alignwd for two clock cycles and see what happens on the parallel side
23:56
Bertl
note that the best approach is to have a period length which is a multiple of your gearing ratio/output
23:57
aSobhy
ok i'll do that now
23:58
aSobhy
thanks Bertl for your time :)
23:58
Bertl
no problem